Äîêóìåíò âçÿò èç êýøà ïîèñêîâîé ìàøèíû. Àäðåñ îðèãèíàëüíîãî äîêóìåíòà : http://danp.sinp.msu.ru/Articles_GSIB/nimb206_820_Yamada_GCIB_Technology.pdf
Äàòà èçìåíåíèÿ: Fri Oct 7 23:08:04 2005
Äàòà èíäåêñèðîâàíèÿ: Mon Oct 1 22:42:09 2012
Êîäèðîâêà:

Ïîèñêîâûå ñëîâà: comet
Nuclear Instruments and Methods in Physics Research B 206 (2003) 820­829 www.elsevier.com/locate/nimb

Cluster ion beam process technology
Isao Yamada
a

a,*

, Jiro Matsuo b, Noriaki Toyoda a,

Collaborative Research Center for Cluster Ion Beam Process Technology
Laboratory of Advanced Science and Technology, Himeji Institute of Technology, 3-1-2 Kouto, Kamigori, Ako, Hyogo 678-1205, Japan b Ion Beam Engineering Experimental Laboratory, Kyoto University, Sakyo, Kyoto 606-8501, Japan

Abstract Since an initial study of gas cluster ion beam (GCIB) had started in Ion Beam Engineering Experimental Laboratory, Kyoto University, more than 15 years has passed. Some of the results are already been applied for an industrial use. Unique characteristics of GCIB bombardment have been found to offer potential for various industrial applications that cannot be achieved by conventional ion beam processing. Impact of an accelerated cluster ion upon a target surface imparts very high-energy densities into the impact area and produces non-linear effects that are not observed in impacts of atomic ions. Among prospective applications are included shallow ion implantation, high-rate sputtering, surface cleaning and smoothing, and low-temperature thin film formation. Low-energy bombarding effects and sputtering effects produced by the cluster ion impact are particularly important. Cluster ion implantation has been applied to realize ultra-shallow junction formation. High-sputtering yields and lateral sputtering that cause surface smoothing cannot be achieved with monomer ion beams. The surface smoothing process to atomic levels becomes the first production use of GCIB. High-quality thin film formation using GCIB assisted deposition is also the characteristic that is explained mainly due to the very low energy and very high-density ion bombardment. ñ 2003 Elsevier Science B.V. All rights reserved.
PACS: 07.05.T; 36.40.W; 61.72.T; 68.35.G; 79.20.A; 79.20.R; 81.15.J Keywords: Gas cluster ion beam processing; Ion implantation; Sputtering; Smoothing; Thin film formation

1. Introduction Gas cluster ion beam (GCIB) processing of materials is based on the use of electrically charged cluster ions consisting of a few hundreds to a few thousands of atoms or molecules of gaseous materials. Individual gas atoms are first condensed

Corresponding author. Tel.: +81-791-58-0027; fax: +81791-58-2666. E-mail address: i-yamada@kuee.kyoto-u.ac.jp (I. Yamada).

*

into neutral clusters, which are subsequently ionized and accelerated. When an energetic cluster ion impacts upon a surface, it interacts nearly simultaneously with many target atoms and deposits high-energy density into a very small volume of the target material. The concurrent energetic interactions between many atoms comprising the cluster and many atoms of the target result in highly nonlinear sputtering and implantation effects, which are fundamentally different from those, associated with the more simple binary collisions, which take place during monomer ion impacts.

0168-583X/03/$ - see front matter ñ 2003 Elsevier Science B.V. All rights reserved. doi:10.1016/S0168-583X(03)00857-7


I. Yamada et al. / Nucl. Instr. and Meth. in Phys. Res. B 206 (2003) 820­829

821

For many years, the prospect of intense cluster beam formation and its applications for materials processing were doubtful. In order to demonstrate unique bombarding effects by cluster ions on solid surface, the following comparison could be cited. When a macroscopic object impacts at a high velocity upon a large rigid mass, both the surface deformation and the conversion of energy into internal degrees of freedom at the point of contact are substantial. Examples of meteorite crater formation are seen on the surfaces of many planets. On the earth, approximately 50,000 years ago, a metallic asteroid about 30 m in diameter impacted in northern Arizona and caused formation of a 1.2-km-wide crater. The shape of the crater formed by the impact of this large meteor exhibits characteristic formation of a large rim comprised of ejected material [1]. On a microscopic scale, similar craters are created on solid surfaces, as a result of impacts of high-energy particles or heavy ions [2]. As an example, a micro-crater on an Au surface is formed due to impact of an Ar cluster ions. The crater shown on the Au surface is approximately 30 nm in diameter [3], which is 4 á 1010 times smaller than the meteorite crater. According to estimations made by molecular dynamics simulations, interactions during energetic cluster ion impact induce transient temperatures of tens of thousands of degrees and transient pressures of tens of GPa within the impact zone of a target surface [4]. Equivalent phenomena are not produced by monomer ion impacts, which involve binary collisions and do not introduce similarly high-energy densities into the impact volume. Research on GCIB process has been started at Ion Beam Engineering Experimental Laboratory, Kyoto University in about 1988 year, after the confirmation of intense gas cluster formation. Because of the new technology used cluster ion beams, formation, verification of GCIB and their investigation of fundamental ion­solid interactions were requested in order to bring reliable industrial tool. For more than 10 years, fundamental research on these subjects has been done. In 2000, a 5 years R&D project of GCIB aimed towards development of basic industrial technology has started under the contract from New Energy and

Industrial Technology Development Organization (NEDO). The project is comprised of three groups (high-functional semiconductor surface processing, high-accuracy surface processing, and highquality thin film formation), which are organized in a Collaborative Research Center. In 2002, a new project especially emphasized on the nano-technology applications has started under the contract of the Ministry of Economy and Technology Industry (METI). The METI R&D projects is currently being performed in the following areas: (1) development of size selected cluster ion beam system and (2) development of material processing by GCIBs which include very high-rate etching and non-damage etching for Magnetic and compound semiconductor materials. In this paper, the key areas of progress in GCIB technology and recent results obtained by both NEDO and METI R&D projects are summarized.

2. Characteristics of GCIB equipment and cluster ion­solid interactions The study of cluster beam formation has been one of the most important issues. It is well known that small numbers of clusters are produced by ejection of metal vapors from heated Knudsen cells. While a heated Knudsen cell has been one candidate for a cluster beam source, achievable beam intensities have usually been too low for practical experimental use [5,6]. Becker et al. first studied cluster formation from gas materials through supersonic nozzle for thermonuclear fuel applications [7,8]. The supersonic expansion approach has been most successful to produce cryogenic beams containing large numbers of clusters [9,10]. However, such supersonic expansion has been considered to be difficult to produce an intense cluster beam under a simple construction, by a room temperature nozzle. Our investigation has shown that a supersonic expansion nozzle of convergence-divergence shape could produce relatively intense cluster beams [11,12]. Our new type of cluster ion source, which is based on the supersonic nozzle operated at room temperature, has produced sufficiently intense cluster ion beams.


822

I. Yamada et al. / Nucl. Instr. and Meth. in Phys. Res. B 206 (2003) 820­829

With this, we have started new ion­solid interactions due to the cluster ion impacts. At the same time, our experiment on the surface effects by GCIBs has also been done by cooperating with University of Rhode Island, ATT Bell Laboratories and New Jersey Institute of Technology [13,14]. These studies have shown that the GCIB process could open a new field in atomic or molecular ion beam process technology, with unique ion/solid interaction processes. Ion beam technology begun more than 100 years ago since the discovery of the ion, is still being used for device fabrication. Cluster ion beam technology, which utilizes the new interaction processes, is expected to be a fundamental technology for the emerging field of nano-scale processing, and also a facilitating processing in existing industrial applications. One of the advantages that relates to the cluster ion is the effect due to a very low charge to mass ratio. Cluster ions containing up to several thousands of atoms typically become only singly or doubly ionized. Consequently, a cluster ion beam at any given current density can transport up to thousands of times more atoms than a monomer ion beam, at the same current density. For example, a 1 mA beam of cluster ions with average size of 1000 atoms per cluster can transport the same number of atoms as a 1 A monomer ion beam. Another advantage of GCIB processes is that they involve essentially low-energy individual atomic interactions even when the total energy of the accelerated cluster ions is high. With conventional monomer ion beam processes, it is difficult to obtain highcurrent beams at very low-acceleration energies. However, cluster ion beams can transport a large number of low-energy atoms at low-current value. Sputtering effects produced by cluster ion impact are particularly important. Sputtering yields we found are very high relative to those associated with monomer ions at similar energy, and angular distributions of ejected atoms are considerably different. Because of the unusual directionality of sputtering particles to lateral direction, this process may be called lateral sputtering. The lateral sputtering causes surface smoothing behavior, which does not occur with monomer ions. Smoothing of surfaces to atomic levels is expected

to become the first production use of the cluster ion beam processing. GCIBs are now being used for producing novel materials with superior properties, for developing new chemical compounds and for altering, refining and machining of materials and surfaces. Examples include: low-damage atomic-scale surface smoothing for metals [15], for superconductors [16], for diamond films [17], for non-spherical plastic lens molds, and for SiC surfaces of synchrotron radiation (SR) mirrors; shallow implantation for LSI junction formation [18,19]; high-rate and lowdamage anisotropic surface etching for MR sensors [20,21]; assisted formation of thin multi-layer film coatings to be used in reliable and durable optical filters [22]. Some of the unique processes and recent results are shown below.

3. Equipment development Earliest equipment developed at Kyoto University for investigations of gas cluster ion interactions with solid surfaces was operational by 1988 [23]. Subsequently, several GCIB systems were constructed at Kyoto University in different configurations for fundamental studies of cluster physics and to facilitate investigations of prospective applications of the unique phenomena, which occur during cluster ion interactions with surfaces. During collaboration with Epion Corporation, in support of work sponsored by the Japan Science and Technology Corporation (JST), Epion Corporation has begun development of commercial GCIB equipment in 1995. GCIB systems designed for research and development now exist in a number of laboratories in Japan and in the US. The first GCIB processor system for production use was introduced in late 1999, and a number of production systems are now operational in Japan and in the US. Fig. 1 shows a photograph of one of these 25 keV GCIB Ultra-Smoothere systems equipped for high-throughput automated processing of 200 mm (300 mm optional) diameter substrates. The system performance is also shown in the figure. Because the gas flows used for cluster generation are relatively high, typically several hundred sccm


I. Yamada et al. / Nucl. Instr. and Meth. in Phys. Res. B 206 (2003) 820­829

823

Fig. 1. 25 keV GCIB Ultra-Smoothere and typical specification.

for most gases, separate pumps are usually employed for the nozzle and ionization stages of a GCIB system. Beam current is a parameter of concern for GCIB applications. Production processes demand for available cluster ion currents usually limit adequate throughput capabilities in order to be economically practical, and still have high throughputs of GCIB processes. Cluster ion currents in early equipment were low, sometimes only of the order of a few nanoamperes, and the factors responsible for beam intensity limitations were not particularly well understood at the time. In the project, efforts to improve generation and effective use of neutral clusters, and to increase efficiency of cluster ionization and subsequent transport and use of the ionized cluster beams,

without increasing gas consumption and pumping requirements, have been successful. Theoretical study of supersonic nozzles is going on in order to understand the dependence of the beam intensity on the nozzle shape [24]. The aim of this research theme is to obtain an ideal shape of the supersonic nozzle that would generate the maximum beam intensity of Ar cluster beams along the central beam axis and that would generate accurately controllable cluster sizes along the radial direction. For the theoretical study of supersonic nozzles, a direct simulation Monte Carlo (DSMC) method, introduced by Bird in 1970 [25], has further been developed. The DSMC method is a probabilistic method, with the number of simulating ``particles'' in a model many orders of


824

I. Yamada et al. / Nucl. Instr. and Meth. in Phys. Res. B 206 (2003) 820­829

magnitude less than the real number of atoms or molecules in a gas. A wide selection of nozzle sizes, apex angles and shapes, with diffuse and secular atomic reflection laws from the nozzle walls, has been studied. A strong effect of the nozzle shape has been found on the flow variables: beam intensity, flow density, nucleation rate, at constant gas temperature [26]. It becomes clear that most of condensation of gases occurs near the throat and then it gradually decrease in the conical expansion part. The preliminary results also show that they agree well with the Hagenaós formula [27]. Cluster ion beam currents of several hundred microamperes have been demonstrated. At these levels, many production applications of GCIB are economically viable. Other applications will require the beam currents as high as 1000 lA or more. Development programs now being conducted are expected to result in 1000 lA cluster ion beams within about two years.

4. Process developments 4.1. Shallow ion implantation The implantation characteristics of monomer, small and large cluster ions are very different even at the same energy per atom, not only in the implanted range, but also in the damage formations. Since the kinetic energy of each atom in a cluster

ion is equal to the total energy of the cluster divided by the number of atoms comprising the cluster, cluster ion beams inherently produce lowenergy irradiation effects. As an example, within a 20 keV cluster ion consisting of 2000 atoms, each of the individual atoms has energy of only 10 eV. While, due to space charge effects, it is extremely difficult to transport monomer ion beams at energies as low as 10 eV, equivalently low-energy ion beams can be realized by using cluster ion beams at relatively high-acceleration voltages. Fig. 2 shows the snapshot of Ar2000 clusters impacting on Si(1 0 0) surface at three incident energies after 8 ps. Large and small circles indicate Ar and Si atoms, respectively. The displaced Si atoms are indicated as small gray circles. As indicated, no-surface damage is produced even at the incident cluster ion energy of 2000 eV [28,29]. This feature can be very useful for low damage and high-rate sputtering, shallow implantation and other nano-scale surface processing. Fig. 3 shows the cluster size dependence of the number of displacements and the energy deposition on a Si target due to the incident Ar cluster ion energy of 20 keV. No damage cold be made by the bombardment of cluster ions with the sizes larger than 10,000, even though the bombardment is done at 20 keV energy and this bombardment results in 6 keV energy deposition on the Si substrate surface. It is also shown that the largest displacement is formed at about the cluster size of 3000 [29]. For semiconductor device

Fig. 2. MD snapshots of Ar2000 cluster ion bombardment on Si(1 0 0) surface at 1, 5 and 10 eV/atom (total incident energy is 2, 10 and 20 keV, respectively).


I. Yamada et al. / Nucl. Instr. and Meth. in Phys. Res. B 206 (2003) 820­829

825

current of 3 mA at the acceleration energy of 3 kV. Recently, several other groups in the US and Europe have shown their results of Decaborane implantation into Si [31­33] and device fabrication [34]. These results show that the Decaborane implantation is a promising technology for shallow junction formation. 4.2. Sputtering and smoothing A characteristic of bombarding effects due to large size cluster ions is the lateral sputtering. The angular distribution by monomer ion bombardment indicates the usual cosine distribution. However, the angular distribution of sputtered atoms by Ar cluster ion shows distributions of laterally ejected atoms [35]. It is the first experimental evidence of ``lateral sputtering'' effect [14]. Very high-sputtering yields on metal, semiconductor and insulator surfaces due to bombardment with cluster ions have been observed experimentally [36], and they have also been studied by computer simulation [37,38]. During the project, smoothing CVD diamond film deposited on Si substrate has been studied by Mitsubishi Materials Corporation. Purpose of the study is to use for SR and X-ray lithography mask membrane. The initial roughness of 700 A has by 20 keV Ar cluster beam been smoothed to 30 A at the dose of 3 á 1017 ions/cm2 using the GCIB equipment with a mechanical scanning system. The smoothed area was 32 mm á 32 mm. With the cluster beam current of 50 lA, the process took only 160 min. If this is done by traditional mechanical polishing, it would take about 54 h. Fig. 4 shows the photos of Phase-Contrast Microscope of the surfaces before and after the treatments [39]. Among the demonstrations of smoothing processes, smoothing of magnetic tunnel junctions on magnetic shield has been reported for manufacturing process application [21]. In this work GCIB was used to smooth the bottom NiFe magnetic shield for magnetic tunnel junction (MTJ) read heads. The GCIB treatment can bring the surface roughness of the shield from 15­20 to around 5 A A, and the most of scratch marks can be removed. This GCIB process can improve the breakdown voltage (approximately 0.019 V per 1015 ions/cm2 )

Fig. 3. The cluster size dependences on the number of displacements and the energy deposition on Si target due to the incident Ar cluster ion at the energy of 20 keV.

applications, especially for CMOS fabrication, major emphasis has been placed on the development of a very low-energy ion implantation equipment for introducing dopant ions into silicon in very shallow depths In the case of boron, energies of only a few hundred eV are required. As noted before, the low-energy implantation is an ideal application for species containing many boron atoms in a single ion. In 1996, first p-MOSFETs have been fabricated by Fujitsu/Kyoto University group to demonstrate B10 H14 implantation for shallow source/drain formation [30]. In the following year, 1997, 40 nm p-MOSFETs have been demonstrated [18]. B10 H14 ion implantation for p-type source/drain (S/D) junctions was performed at an acceleration energy of 30 keV to a dose of 1 á 1013 ions/cm2 , and was followed by an anneal at 1000 °C for 10 s. A junction depth of 20 nm was achieved. For S/D extensions, B10 H14 ion implantation at 2 keV was carried out to a dose of 1 á 1012 ions/cm2 followed by annealing at 900 °C for 10 s. A 7 nm ultra-shallow junction without TED or TD was achieved. Equipment development is in progress under the developing program of the Japan Science and Technology Corporation. Final target is to develop industrially applicable equipment which could produce the B10 H14 beam


826

I. Yamada et al. / Nucl. Instr. and Meth. in Phys. Res. B 206 (2003) 820­829

Fig. 4. Phase-contrast microscope images of the CVD diamond membrane surface (a) before and (b) after GCIB treatments.

of the MTJs. Using this technology, an RA (resistance area) as low as 3.5­6.5 Xlm2 , together with a TMR of 14­18% could be obtained for MTJs grown on the GCIB treated NiFe magnetic shield. Recent study related to the lateral sputtering is damageless magnetic materials and compound semiconductor materials. R&D of very high-rate etching process of semiconductor and insulating materials is also started by METI project. 4.3. Thin film formation Cluster ion beams can be utilized for reactive growth of thin films at low temperatures. The high

density of transient energy produced by individual cluster impacts on a surface can significantly enhance the rates of chemical reactions on the surface, at low substrate temperature itself. Highchemical reactivity of gas cluster ions and structure control has been demonstrated by an O2 cluster ion assisted deposition. The Adachi New Industry Co./Himeji Institute of Technology group has done the gas cluster ion assisted deposition. Multi-layers of TiO2 and SiO2 by oxygen gas cluster bombardment during Ti, Si deposition has been made [22]. Fig. 5(a) shows the cluster ion energy dependence of the refractive index for Ta2 O5 films which were formed by non-assisted deposition, O2 neutral beam assisted deposition,

Fig. 5. Cluster ion energy dependences of (a) refractive index and (b) surface roughness for Ta2 O5 .


I. Yamada et al. / Nucl. Instr. and Meth. in Phys. Res. B 206 (2003) 820­829

827

and 3­11 keV O2 -GCIB assisted deposition. Ion current density was 160 nA/cm2 . In the case of non-assisted deposition, the refractive index was about 2.01 at 550 nm. There is no significant difference compared with the neutral O2 cluster beam assisted deposition. However, in the case of O2 cluster ion beam assisted deposition, the refractive index increased up to 2.14, depending on the cluster ion energy. There was a maximum value at cluster ion energy around 7 keV. The Ta2 O5 films formed by O2 -GCIBassisted deposition were transparent throughout the visible wavelength region, and there was no absorption in the transmittance spectra. Average roughness dependences on the cluster ion energy are shown in Fig. 5(b). Smooth surfaces of Ta2 O5 films deposited at 1 A A/min were obtained at cluster ion energy higher than 4 keV. A threshold of the current density for smoothing effect at the deposition of 7 keV was seen in the interval between 0.3 and 0.6 lA/cm2 . Similar results were obtained in Nb2 O5 /SiO2 multilayers. Fig. 6 shows a cross-sectional image of Ta2 O5 / SiO2 multiplayer film observed by FE-SEM and AFM images at the interface between layers. The gray layers and dark ones correspond to Ta2 O5 and SiO2 , respectively. The 2nd, 3rd, 4th and 7th layers from the bottom were formed with 7 keV

O2 -GCIB assisted deposition. The cluster ion current density was 1 lAcm2 . The 5th and 6th layers were formed without GCIB assisted deposition. The structure of O2 -GCIB assisted films (the 2nd 3rd, 4th and 7th layers) was obviously uniform with a flat interface, and there were no porous or columnar structures. In contrast, the layers of non-assisted deposition had large grains with porous and rough interface. By using the GCIB assisted deposition, a very uniform and dense film was obtained, without porous and columnar structure at the O2 cluster ion-assisted layers. This smoothing effect cannot be realized by other deposition methods. The Nomura Plating Co./Himeji Institute Technology group studied very hard DLC films. The DLC film was formed by an Ar­GCIB assisted deposition during the evaporation of C60 [40]. When the DLC film was formed with 7 keV Ar cluster ion, the hardness of the film shows 5000 kg/mm2 (50 GPa). However, the hardnesses of the DLC films formed by other methods (RF plasma, ion plating and ECR plasma) were around 2000 kg/mm2 . Also, friction coefficients were compared with those of the DLC films formed by other methods, such as plasma-CVD, ion plating and with electroplating Cr, which are commonly used for hard coating of steels. The friction coefficients

Fig. 6. Cross-sectional image of Ta2 O5 /SiO2 multiplayer film observed by FE-SEM and AFM images at the interface between layers.


828

I. Yamada et al. / Nucl. Instr. and Meth. in Phys. Res. B 206 (2003) 820­829 [7] E.W. Becker, K. Bier, W. Henkes, Z. Phys. 146 (1956) 333. [8] E.W. Becker, in: F. Trager, G. zu Putlitz (Eds.), Proceedings of the International Symposium on Metal Clusters ­ 1986, Springer Verlag, Berlin, 1986, p. 1. [9] H. Schaber, T.P. Martin, Surf. Sci. 156 (1985) 64. [10] O. Hagena, Surf. Sci. 106 (1981) 101. [11] I. Yamada, Proceedings of 14th Symposium on Ion Sources and Ion-Assisted Technology, Tokyo, The Ion Engineering Society of Japan, Tokyo 1991, p. 227. [12] I. Yamada, Radiat. Eff. Def. Solids 124 (1992) 69. [13] J.A. Nothby, T. Jiang, G.H. Takaoka, I. Yamada, W.L. Brown, M. Sosnowski, Nucl. Instr. and Meth. B 74 (1993) 336. [14] I. Yamada, W.L. Brown, J.A. Nothby, M. Sosnowski, Nucl. Instr. and Meth. B 79 (1993) 223. [15] I. Yamada, Nucl. Instr. and Meth. B 112 (1996) 242. [16] W.K. Chu, Y.P. Li, J.R. Liu, J.Z. Wu, S.C. Tidrow, N. Toyoda, J. Matsuo, I. Yamada, Appl. Phys. Lett. 72 (1998) 246. [17] A. Nishiyama, M. Adachi, N. Toyoda, N. Hagiwara, J. Matsuo, I. Yamada, Proceedings of the Application of Accelerators in Research and Industry ó98, AIP Press, New York, 1999, p. 421. [18] K. Goto, J. Matsuo, Y. Tada, T. Tanaka, Y. Momiyama, T. Sugii, I. Yamada, A high performance 50 nm PMOSFET using Decaborane (B10 H14 ) ion implantation and 2step activation annealing process, IEDM Tech. Dig., IEEE (1997) 471. [19] I. Yamada, J. Matsuo, E.C. Jones, D. Takeuchi, T. Aoki, K. Goto, T. Sugii, Mat. Res. Soc. Symp. Proc. 438 (1997) 363. [20] W. Skinner, Extended Abstracts Of Workshop On Cluster Ion Beam Process Technology, Collaborative Research Center for Cluster Ion Beam Process Technology, Osaka Science Center, 2001, p. 23. [21] J.J. Sun, K. Shimazaw, N. Kasahara, K. Sato, T. Kagami, S. Saruki, S. Araki, M. Matsuzaki, J. Appl. Phys. 89 (2001) 6653. [22] K. Shirai, Y. Fujiwara, R. Takahashi, N. Toyoda, S. Matsui, T. Mitamura, M. Terawawa, I. Yamada, Jpn. Appl. Phys. 41 (2002) 4291. [23] Contract research report, Research Development Corporation of Japan (JRDC), 1989. [24] Z. Insepov, I. Yamada, in: Proceedings of International Conference on Computer Simulation of Radiation Effects in Solids (COSIRES-2002), Dresden, Germany, submitted for publication. [25] G.A. Bird, Molecular gas dynamics and the direct simulation of gas flows, Oxford, 1994. [26] P.A. Skovorodko, Proceedings of the 13th International Conference on Rarefied Gas Dynamics, Novosibirsk, 1982, p. 1053. [27] O.F. Hagena, W. Obert, J. Chem. Phys. 56 (1972) 1793. [28] T. Aoki, J. Matsuo, G.H. Takaoka, Proceedings of Materials Research Society Symposium, Vol. 669, 2001, p. J4.5.1. [29] T. Aoki, J. Matsuo, G.H. Takaoka, in: Proceedings of International Conference on Computer Simulation of

of the films were approximately 0.1, which were almost 1/5 lower than that of the DLC film formed by other methods. The sp2 contents of DLC films have been evaluated by near-edge X-ray absorption fine structure (NEXAFS) spectra of the carbon Kedge over the excitation energy range 275­320 eV, using SR. With attention to the peak corresponding to the transition of the excitation electron from carbon 1s orbital to pö orbital, relative sp2 contents of various DLC films were estimated. The sp2 contents of the DLC films formed by the GCIB assisted deposition were observed to be lower than those of the DLC films formed by other methods. The hardness value measured with a nano-indentation technique was found to be strongly related to the sp2 content of the DLC film. This result suggests that the Ar GCIBassisted fullerene deposition was found to afford the hard DLC films with a high portion of sp3 hybridized carbon [41]. 5. Summary The present status of the research and development program, and the characteristics of cluster ion beam processing are presented. It has been shown that several potential applications of the GCIB in industry have been suggested. Highly enhanced processes due to a large cluster ion bombardment are now attracting more attentions in the ion beam process area. GCIB processing is presented as an advanced approach, which will contribute to further progress in this field.

References
[1] T. Geherels, Collisions with comets and asteroids, Sci. Am. (March) (1996) 54. [2] K.L. Merkle, W. J ager, Philos. Mag. A 44 (1981) 741. a [3] D. Takeuchi, K. Fukushima, J. Matsuo, I. Yamada, Nucl. Instr. and Meth. B 121 (1997) 493. [4] Z. Insepov, I. Yamada, Nucl. Instr. and Meth. B112 (1996) 16. [5] R.L. McEachern, W.L. Brown, M.F. Jarrold, M. Sosnowski, G.H. Takaoka, H. Usui, I. Yamada, J. Vac. Sci. Technol. A 9 (1991) 3105. [6] W.L. Brown, M.F. Jarrold, R.L. McEachern,, M. Sosnowski, G. Takaoka, H. Usui, I. Yamada, Nucl. Instr. and Meth. B 59­60 (1991) 182.


I. Yamada et al. / Nucl. Instr. and Meth. in Phys. Res. B 206 (2003) 820­829 Radiation Effects in Solids (COSIRES-2002), Dresden, Germany, submitted for publication. K. Goto, J. Matsuo, T. Sugii, H. Minakata, I. Yamada, IEDM Tech. Dig. 1996, IEEE (1996) 435. A.G. Dirks, P.H.L. Banchen, J. Politiek, N.E.B. Cowern, J.H.M. Snijders, J.G.M. van Berkum, M.A. Verhijen, 1998 International Conference on Ion Implantation Technology Proceedings, IEEE, 1998, p. 1167. D.C. Jacobson, K. Bourdelle, H.-J. Gossmann, M. Sosnowski, M.A. Albano, V. Babaram, J.M. Poate, A. Agarwal, A. Perel, T. Horsky, 2000 International Conference on Ion Implantation Technology Proceedings, IEEE, 2000, p. 300. M.C. Vella, R. Tysinger, M. Reilly, B. Brown, 2000 International Conference on Ion Implantation Technology Proceedings, IEEE, 2000, p. 1527. A.S. Perel, W. Krull, D. Hoglund, K. Jackson, T. Horsky, 2000 International Conference on Ion Implantation Technology Proceedings, IEEE, 2000, p. 304.

829

[30] [31]

[32]

[33]

[34]

[35] I. Yamada, J. Matsuo, MRS Symposium Proceedings, Vol. 427, 1996, p. 265. [36] N. Toyoda, J. Matsuo, I. Yamada, Proceedings of the 14th International Conference on Application of Accelerators in Research and Industry, AIP Conference Proceedings 392, AIP Press, New York, 1997, p. 483. [37] Z. Insepov, I. Yamada, Nucl. Instr. and Meth. B 99 (1995) 248. [38] Z. Insepov, I. Yamada, Nucl. Instr. and Meth. B153 (1999) 199. [39] A. Nishiyama, Reported by news paper Nikkan Kogyo Shinbun, Business and Technology, March 26, 2002. [40] T. Kitagawa, Extended abstracts of workshop on cluster ion beam process technology, Collaborative Research Center for Cluster Ion Beam Process Technology, Osaka Science Center, 2001, p. 67. [41] K. Kanda, T. Kitagawa, Y. Shimizugawa, Y. Haruyama, S. Matsui, M. Terasawa, H. Tsubakino, I. Yamada, T. Gejo, M. Kamada, Jpn. Appl. Phys. 41 (2002) 4295.